Betway必威(东盟·China)体育官方网站-Unique Platform


子栏目
国内前道设备迎本土扩产东风
2022年05月18日

全球前道涂胶显影设备整体呈现增长态势。根据VLSI数据,全球前道涂胶显影设备销售额由2013年的14.07亿美元增长至2023年的24.76亿美元,另据Gartner数据,2019年和2020年全球前道涂胶显影设备销售额已达到20.67亿美元和25.47亿美元,高于VLSI预测。


东京电子占据全球近90%市场份额,为细分市场绝对龙头。根据Gartner数据,2020年东京电子(日)、SEMES(韩)、SCREEN(日)和SUSSMicroTec(德)分别占据全球87.4%、6.9%、4.7%、1.0%前道涂胶显影机市场份额。东京电子提供从8寸到12寸、涵盖i-line到EUV全面的前道涂胶显影设备,独占细分市场第一。


2013-2023年全球前道涂胶显影身背销售额:

1648192519661065.png


2020年全球球晶圆前道制造涂胶显影机市场份额

1648192531385095.png


芯源微前道涂胶显影设备通过验证渐进规模化量产周期。公司作为项目责任单位承担并完成了两项与所处涂胶显影设备领域相关的“02重大专项”项目,分别是:



KS-C30012寸集束型涂胶显影机:

1648192560278868.png



KS-FT200/300系列堆叠式高产能前道涂胶显影机:


1648192572330208.png


刻蚀机:泛林、TEL、应材占比九成,中微、北方华创份额逐步提升刻蚀工艺(Etch)通过选择性地移除沉积过程中添加的介电(绝缘)材料和金属(导电)材料,协助形成芯片构件,是与光刻相联系的图形化(pattern)处理的一种主要工艺。刻蚀影响图形工艺的工艺参数包括不完全刻蚀、过刻蚀、钻蚀、选择比和侧边的各项异性/各向同性刻蚀。


半导体刻蚀示意图:

1648192585815324.png


刻蚀工艺分为导体刻蚀、介电质刻蚀或多晶硅刻蚀,分别用于去除晶圆上不同类型的薄膜。介电质刻蚀作用在刻蚀氧化层以留下“氧化绝缘体”来分隔器件;多晶硅刻蚀用于制作晶体管内的栅极;采用介电质刻蚀来刻蚀用于铺设金属导电路径的通孔和沟槽;同时,金属刻蚀可去除铝、钨或铜层,以在逐级叠加的芯片结构中生成互联导线图形。


刻蚀工艺区分:

1648192603526749.png

干法刻蚀是目前集成电路制造主流的刻蚀技术。


刻蚀可以分为湿法刻蚀和干法刻蚀,湿法刻蚀各向异性较差,侧壁容易产生横向刻蚀造成刻蚀偏差,通常用于工艺尺寸较大的应用,或用于干法刻蚀后清洗残留物等;


干法刻蚀具备选择比高,可控性、灵活性、重复性好、洁净度高等特点,在半导体图像尺寸发展到2微米以下时成为主流刻蚀工艺。干法刻蚀主要包括等离子刻蚀、离子束刻蚀、反应离子刻蚀(RIE)等,其中反应离子刻蚀结合了等离子刻蚀和离子束刻蚀原理。


湿法刻蚀和干法刻蚀对比:

1648192616180641.png

等离子体刻蚀设备主要包括电容性等离子体刻蚀设备(CCP,CapacitivelyCoupledPlasma)和电感性等离体刻蚀设备(ICP,InductivelyCoupledPlasma)。等离子刻蚀是将电磁能量施加到含有化学反应成分(如氟或氯)的气体中实现。


等离子会释放带正电的离子来撞击晶圆以去除(刻蚀)材料,并和活性自由基产生化学反应,与刻蚀的材料反应形成挥发性或非挥发性的残留物。电容性等离子体刻蚀设备主要用于刻蚀氧化物、氮化物等硬度高、需要高能量离子反应刻蚀的介质材料。


电感性等离子体刻蚀设备主要用于刻蚀单晶硅、多晶硅等材料。由于微观器件越做越小,薄膜厚度越来越薄,线宽控制越来越严,ICP刻蚀机取代以往的CCP刻蚀设备成为市场规模占主导地位的设备。


电容性等离子体刻蚀反应腔:

1648192634904129.png

电感性等离体刻蚀反应腔:

1648192646717515.png


先进逻辑、DRAM制程由于线宽不断缩小、芯片结构3D化引入多重模板工艺(多重曝光)使得刻蚀工艺步骤大幅增长,3DNAND层数不断增加既增加了刻蚀步骤,又提升了高深宽比刻蚀需求,因此刻蚀相关需求随之不断增长。


根据中微公司数据,7nm逻辑工艺总刻蚀步骤约为140道,相对40nm、28nm的约35道、50道有大幅提升。根据SEMI的统计数据,刻蚀机在前道晶圆制造设备市场占比已从2010年约14%提升至2020年约21%。


10nm工艺多重模板工艺原理:

1648192668534328.png

2DNAND及3DNAND示意图:

1648192679663187.png


根据Gartner统计数据,2020年全球集成电路制造干法刻蚀设备市场规模预计将回升至136.89亿美元,同比增长25.36%,在全球集成电路制造设备市场的规模占比达21.10%;2025年,全球集成电路制造干法刻蚀设备市场规模预计将增长至181.85亿美元,年复合增长率约为5.84%。


全球前道集成电路制造刻蚀设备市场规模:

1648192693790136.png


泛林、东京电子、应用材料合计市占超9成,国内厂商具备较大发展空间。



全球前道集成电路制造刻蚀设备市场份额:

1648192709196401.png


中微公司从主打CCP刻蚀机,向ICP刻蚀机拓展。中微公司先期开发了CCP刻蚀机,近年来进入ICP刻蚀机领域,公司的两种刻蚀设备都有单反应台反应器,每台设备可以带有六个独立的反应器,可以满足高端刻蚀应用的需求。


中微公司的CCP刻蚀设备已广泛的被国内外客户广泛接受,已在5纳米器件上实现量产,并在5纳米以下器件的试生产上实现了突破性的进展。中微公司的ICP刻蚀机进入市场后迎来高速发展阶段,出货量正在攀升。


中微公司刻蚀机产品:

1648192721800502.png


北方华创12寸刻蚀机产品:

1648192734693425.png


北方华创ICP刻蚀机积累深厚,累计出货超千台。2005年公司第一台8寸ICP刻蚀机交付客户生产线,2016年公司首台14nm硅刻蚀设备交付客户,2017年公司首台应用于8英寸0.13um及以下技术的Al金属刻蚀机交付客户,2019年公司原子层刻蚀设备进入客户生产线,截止2020年12月,北方华创ICP刻蚀机累计交付量破千台。目前公司形成涵盖8寸、12寸集成电路、先进封装、MEMS、LED、功率器件等应用领域等离子刻蚀机产品矩阵。


屹唐半导体等离子刻蚀设备进入国内外知名大厂。根据公司招股书,paradigmE系列取双晶圆反应腔、双反应腔产干法刻蚀机可应用于集成电路前道工序,新产品CCP刻蚀机基于业界领先的远程电感耦合等离子体发生器工程设计,已实现量产销售。目前,公司刻蚀设备已用于三星电子、长江存储等国内外知名存储芯片制造企业。


薄膜沉积设备:北方华创、

拓荆科技多线突破


薄膜沉积是指在硅片衬底上沉积一层待处理的薄膜材料,利用沉积工艺可形成用于构建半导体器件的介电(绝缘)层和金属(导电)材料,并促使器件集成为电路。


所沉积薄膜材料可以是二氧化硅、氮化硅、多晶硅等非金属以及铜等金属,依据具体的材料和结构类型,需要采用不同的技术,主要工艺有化学气相沉积(CVD)、物理气相沉积(PVD)、原子沉积(ALD)、电气化学沉积(ECD)、外延等。半导体薄膜需要满足的一般标准包括厚度或均匀性、表面平整度或粗糙度、组成或核粒尺寸、无应力、纯净度、完整性等。


PVD、CVD及ALD成膜效果:

1648192754572427.png


化学气相沉积(CVD)是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器内气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉积物的技术,是一种通过气体混合的化学反应在硅片表面沉积薄膜的工艺。


典型应用包括浅沟槽隔离层、金属前电介质层、金属层间电介质层和钝化保护层。该工艺也在应变工程中发挥重要作用,采用压缩或拉伸应力薄膜来改善导电性,从而提升晶体管的性能。


CVD设备由气相反应室(进气方向与样品表面成水平或垂直),能量系统(加热或射频),反应气体控制系统,真空系统及废气处理装置等组成。常用CVD设备包括PECVD、SACVD、APCVD、LPCVD、ALD等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。


CVD设备分类:

1648192767703767.png


常压化学气相沉积(APCVD)是指在大气压下进行的一种化学气相淀积的方法,是化学气相淀积最初所采用的方法。这种工艺所需的系统简单,反应速度快,并且其淀积速率可超过1000埃/min,特别适于介质淀积,但是它的缺点是均匀性较差,所以,APCVD一般用在厚的介质淀积。


低压化学气相沉积(LPCVD)是指系统工作在较低的压强下的一种化学气相淀积的方法。


随着半导体工艺特征尺寸的减小,对薄膜的均匀性要求及膜厚的误差要求不断提高,发展出低压化学气相淀积。


LPCVD技术沉积出来的薄膜均匀性和台阶覆盖性较好,且具有较低的淀积速率和较高的淀积温度。LPCVD技术不仅用于制备硅外延层,还广泛用于各种无定形钝化膜及多晶硅薄膜的淀积,是一种重要的薄膜淀积技术。


APCVD沉积过程示意图:

1648192784478090.png


LPCVD沉积示意图:

1648192796988015.png


等离子体增强化学气相淀积(PECVD)是指采用高频等离子体驱动的一种气相淀积技术,是一种射频辉光放电的物理过程和化学反应相结合的技术。该气相淀积的方法可以在非常低的衬底温度下淀积薄膜,例如在铝(AL)上淀积二氧化硅。工艺上等离子体增强化学气相淀积主要用于淀积绝缘层。


先进制程发展下,ALD(原子层沉积)应用越来越广泛。ALD工艺直接在芯片表面堆积材料,一次沉积单层薄膜几分之一的厚度,以尽可能生成最薄、最均匀的薄膜。从原理上说,ALD是通过化学反应得到生成物,但在沉积反应原理、沉积反应条件的要求和沉积层的质量上都与传统的CVD不同。


相对于传统的沉积工艺而言,ALD工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长。ALD设备在Finfet的fin结构形成、高k材料、金属栅、STI、BSI等工艺中均存在大量应用。


PECVD沉积过程示意图:

1648192814196038.png


ALD技术及应用:

1648192825879298.png

物理气相沉积(PhysicalVaporDeposition,PVD)技术表示在真空条件下,采用物理方法,将固体或液体等材料源表面气化成气态原子、分子或部分电离成离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。


半导体前道物理气相沉积的主要方法有真空蒸镀、溅射镀膜等,PVD沉积工艺用于为先进晶体管高k栅介质/金属栅极生成超薄盖帽层和金属栅极薄膜,也用于在互连结构中形成超薄阻挡膜和种子层。


溅射(Sputtering)工艺目前为集成电路前道制造物理气相沉积制备薄膜的主要技术。溅射主要利用离子源产生的离子,在高真空中经过加速聚集,而形成高速度能的离子束流,轰击固体(溅射靶材)表面,离子和溅射靶材表面原子发生动能交换,使固体表面的原子离开固体并沉积在基底表面。


PVD溅射工艺示意图:

1648192842669111.png


如同刻蚀工艺,由于先进逻辑、DRAM制程由于线宽不断缩小、芯片结构3D化引入多重模板工艺(多重曝光)以及3DNAND层数不断增加,薄膜沉积工艺步骤随之显著增加。


根据MaximizeMarketResearch预测,至2025年全球全球薄膜沉积设备市场规模将达到340亿美元,2017年至2025年维持13.3%复合增长率。


全球薄膜沉积设备市场规模及预测:

1648192856911050.png


薄膜沉积设备占据最大前道设备市场份额。根据Gartner数据,2020年薄膜沉积设备在前道晶圆制造设备市场占比约25.1%,合计139.2亿美元,超过光刻和刻蚀设备市场份额成为最大的前道工艺设备细分市场。其中PECVD占比达到34%为最高,ALD占据13%,LPCVD占据7%;属于PVD溅射和电镀ECD分别占据21%和4%市场份额。


全球前道薄膜沉积设备市场结构:

1648192874196552.png

应用材料、泛林、东京电子占据全球前道薄膜沉积设备市场超7成市场份额。



全球前道薄膜沉积设备市场份额:

1648192889186439.png


全球前道PECVD设备市场份额:

1648192906437740.png


全球前道ALD设备市场份额:

1648192918590321.png


全球前道PVD溅射设备市场份额:

1648192932511348.png


北方华创薄膜沉积设备布局全面:



拓荆科技主要从事高端半导体专用设备的研发、生产、销售和技术服务。公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三个产品系列,根据其招股书,公司产品已广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,并已展开10nm及以下制程产品验证测试。


北方华创部分薄膜沉积设备:

1648192951169461.png


全球前道PVD溅射设备市场份额:

1648192964474988.png


半导体清洗工艺用于去除半导体硅片制造、晶圆制造和封装测试每个步骤中可能存在的杂质,避免杂质影响芯片良率和芯片产品性能。随着芯片制造工艺先进程度的持续提升,清洗是贯穿半导体产业链的重要工艺环节,对晶圆表面污染物的控制要求不断提高,每一步光刻、刻蚀、沉积等重复性工序后,都需要一步清洗工序。


半导体清洗贯穿各工艺环节:

1648192985548676.png


半导体清洗去除半导体制造过程中的颗粒、自然氧化层、金属污染、有机物、牺牲层、抛光残留物等杂质,将晶圆制造过程中晶圆表面的上述各种污染物控制在工艺要求的范围之内,并保证晶圆表面无损伤,以保证芯片的良率及性能。随着工艺节点不断发展,对晶圆表面污染物的控制要求越来越高。


半导体制造工艺中污染物种类、来源及主要危害:

1648192999528317.png

晶圆制造产线上通常以湿法清洗为主,少量特定步骤采用湿法和干法清洗相结合的方式互补所短,构建清洗方案。根据清洗介质的不同,目前半导体清洗技术主要分为湿法清洗和干法清洗两种工艺路线,根据盛美上海招股书,湿法清洗是主流的清洗技术路线,占芯片制造清洗步骤数量的90%以上。



半导体清洗类别:

1648193015575828.png

在集成电路制造的先进工艺中,单片清洗已逐步取代槽式清洗成为主流。


  • 在湿法清洗工艺路线下,目前主流的清洗设备主要包括单片清洗设备、槽式清洗设备、组合式清洗设备和批式旋转喷淋清洗设备等,其中单片清洗设备市场份额占比最高。


  • 单片清洗能够在整个制造周期提供更好的工艺控制,改善了单个晶圆和不同晶圆间的均匀性,提高了产品良率,有效减少槽式清洗出现交叉污染的影响。


  • 湿法清洗工艺路线下主流的清洗设备存在先进程度的区分,主要体现在可清洗颗粒大小,金属污染,腐蚀均一性以及干燥技术等标准。


主要湿法清洗设备比较:

1648193029496047.png


DNS(DainipponScreenSemiconductorSolutions)为全球前道清洗设备龙头,盛美、北方华创崭露头角。根据Gartner数据,2020年全球前道清洗设备市场规模约为33亿美元。其中单片清洗设备市场规模为25.3亿美元,DNS占据


38.3%市场份额居首,东京电子、SMES、泛林以19.5%、19.2%、17.7%次之,盛美和北方华创分别占据5.2%和0.2%市场份额,开始崭露头角。槽式清洗设备市场规模约为5.5亿美元,DNS以69.9%市占率占比第一,东京电子以15.2%市场份额次之,北方华创以8%市占率居全球第三。


全球前道单片清洗设备市场份额:

1648193047103140.png


全球前道槽式清洗设备市场份额:

1648193061180168.png


国内多家半导体清洗设备企业起步稳健,清洗设备有望成为前道设备国产化进度最快的细分领域。目前,国内涉及半导体清洗设备制造商主要有盛美半导体、至纯科技、北方华创及芯源微等:


盛美上海是具备世界领先技术的半导体清洗设备制造商,公司集成电路清洗设备包括SAPS兆声波清洗设备、TEBO兆声波清洗设备、单晶圆清洗设备、TAHOE清洗设备、背面清洗设备、槽式湿法清洗设备、刷洗设备、电镀设备和立式炉设备等产品。


至纯科技的湿法设备已经获得28nm全系列认证,首批次单片湿法设备已交付并顺利通过验证,并向14nm及以下制程突破。


北方华创的清洗设备技术主要来源于美国半导体设备生产商AkrionSystemsLLC,在全球槽式清洗设备市场已占据8%市场份额。


  • 芯源微的主要产品技术为物理刷片式为主,其湿法设备正处于研发阶段。


盛美上海集成电路设备产品线:

1648193082931148.png


CMP设备:供给高度集中于CR2,

华海清科实现商业量产破局

化学机械抛光(ChemicalMechanicalPolishing,CMP)是集成电路制造过程中实现晶圆全局均匀平坦化的关键工艺。


在集成电路制造的各个阶段,晶圆表面都要保持完全平坦或进行平坦化处理,目的是去除多余的材料,或者是为了建立极其平坦的基底,以便添加下一层电路特征。


如果晶圆制造过程中无法做到纳米级全局平坦化,既无法重复进行光刻、刻蚀、薄膜和掺杂等关键工艺,也无法将制程节点缩小至纳米级的先进领域,因此随着超大规模集成电路制造的线宽不断细小化而产生对平坦化的更高要求需求,CMP在先进工艺制程中具有不可替代且越来越重要的作用。


CMP设备主要依托CMP技术的化学-机械动态耦合作用原理,通过化学腐蚀与机械研磨的协同配合作用,晶圆的背面施加精确的向下力并将晶圆正面压在由特殊材料制成(还含有化学药剂和研磨剂的混合物)的旋转垫上,从而去除晶圆正面上的多余材料实现晶圆表面多余材料的高效去除与全局纳米级平坦化。


CMP抛光模块示意图和作业原理图:

1648193096101281.png


应用材料占据全球前道CMP设备6成市场份额,CR2集中率超93%。根据Gartner数据,2020年全球前道CMP设备市场规模为17.7亿美元,较2019年14.5亿美元增长22.1%,增速高于前道设备整体市场增速(16.4%)。其中应用材料占据64%市场份额,日企荏原占据29%市场份额,前二名供应商占据93%市场份额,供给端高度集中。


2020年全球前道CMP设备市场份额:

1648193112328897.png


华海清科为国内唯一实现CMP商业量产突破的半导体设备制造商。


  • 华海清科是一家成立于2013年拥有核心自主知识产权的高端半导体设备制造商,主要从事半导体专用设备的研发、生产、销售及技术服务,主要产品为化学机械抛光(CMP)设备,是目前国内唯一一家为集成电路制造商提供12英寸CMP商业机型的高端半导体设备制造商。


华海清科CMP设备已商业出货国内外集成电路制造生产线:


  • 根据华海清科招股书,公司研制的CMP设备产品全面覆盖集成电路制造过程中的非金属介质CMP、金属薄膜CMP、硅CMP等抛光工艺并取得量产应用,高端CMP设备的工艺技术水平已在14nm制程验证中,形成了硬件+技术服务的全方位体系。


  • 截至2020年12月31日,公司CMP设备已累计出货58台,在手订单35台,设备已广泛应用于中芯国际、长江存储、华虹集团、英特尔、长鑫存储、厦门联芯、广州粤芯、上海积塔等国内外先进集成电路制造商的大生产线中。


华海清科12寸CMP设备:

1648193126222009.png


华海清科8寸CMP设备:

1648193146435021.png

离子注入机:美、日把持,万业凯世通即将规模化进入国内头部大厂离子注入法(ionimplant)是现代集成电路制造掺杂的主要制造工艺,在器件小型化和多样化方面起重要作用。


  • 离子注入法是通过离子注入机的加速和引导,将要掺杂的离子以离子束形式入射到材料中去,离子束与材料中的原子或分子发生一系列理化反应,入射离子逐渐损失能量,并引起材料表面成分、结构和性能发生变化,最后停留在材料中,从而优化材料表面性能,或使材料获得某些新的性能。


  • 随着芯片设计的日益复杂,所需的离子注入工序亦相应增加。根据应用材料数据,采用嵌入式存储器的CMOS集成电路的注入工序可能多达60多道。


离子注入示意图:

1648193165104314.png


离子注入法对比热扩散法优势:

1648193183461241.png


离子注入机主要由五部分组成:离子源、磁分析器、加速管或减速管、聚焦和扫描系统、工艺腔(靶室和后台处理系统)。


  • 离子源:用来产生离子的装置。原理是通过钨灯丝、射频或和微波等技术制备要掺杂的离子对掺杂源进行离子化,再经吸极吸出,由初聚焦系统聚成离子束,射向磁分析器;


  • 磁分析器:利用不同荷质比的离子在磁场下运动轨迹的不同将离子分离,选出所需的掺杂离子,被选离子束通过可变狭缝,进入加速管或减速管;


  • 加速管或减速管:从分析器出来的离子束,经过加速或减速打到硅片内部去,离子经过加速或减速电极后,在静电场作用下获得所需能量;


  • 聚焦和扫描系统:离子束离开加速管后进入控制区,先由静电聚焦透镜使其聚焦进入偏转系统,束流被偏转注到靶上;


  • 工艺腔:包括真空排气系统、装卸硅片的终端台、硅片传输系统和计算机控制系统。


离子注入时,从离子源引出的离子经过磁分析器选择出需要的离子,分析后的离子经加速或减速以改变离子的能量,再经过两维偏转扫描器使离子束均匀的注入到材料表面,用电荷积分仪可精确的测量注入离子的数量,调节注入离子的能量可精确的控制离子的注入深度。


离子注入机结构图:

1648193200603673.png


离子注入机工作原理图:

1648193212952920.png


根据《离子注入机通用规范》(GB/T15862-2012),离子注入机按能量高低可分为:低能离子注入机、中能离子注入机、高能离子注入机和兆伏离子注入机;


按束流大小可分为:小束流离子注入机、中束流离子注入机、强流离子注入机和超强流离子注入机。行业内,通常将强流离子注入机和超强流离子注入机统称为大束流离子注入机,各类离子注入机中低能大束流技术难度最高。


离子注入机类型(按能量高低):

1648193229482461.png

离子注入机类型(按束流大小):

1648193241655891.png


集成电路领域离子注入机包括三种机型:大束流离子注入机、中束流离子注入机和高能离子注入机。根据Gartner数据,2020年全球前道晶圆制造离子注入机市场规模为16.5亿美元,其中大束流离子注入机、中束流离子注入机和高能离子注入机分别占据43%、28%、27%市场份额。


2019-2020年全球晶圆前道制造离子注入机市场规模:

1648193258587410.png


美日企业垄断晶圆前道制造离子注入机市场:


  • 根据Gartner数据,2020年美国的应用材料、亚舍立(Axcelis)以及日本的住友重工旗下离子科技(SMIT,SumitomoHeavyIonTechnology)、日新(Nissin)和日本真空(Ulvac)分别占据全球55%、22.3%、17.8%、3.6%和1.3%市场份额。其他行业参与者有中国台湾汉辰科技旗下AIBT以及中国大陆凯世通和中科信。


2020年全球球晶圆前道制造离子注入机市场份额:

1648193272895340.png


应用材料半导体离子注入机类型:

1648193288592407.png


应用材料作为全球最大离子注入机供应商,产品组合涵盖业内常见的四类注入系统:其中的三类属于视线离子束流系统:高电流(用于低能量和/或高剂量应用);中电流(用于较低剂量);高能量(用于非常深的注入)。


  • 第四类系统利用等离子掺杂,用于要求极高剂量的应用,或对无法通过视线束线系统到达的区域进行共形掺杂(例如,三维鳍式场效晶体管中的侧壁掺杂),这些系统可提供卓越的波束角控制、剂量控制、均匀度和晶圆间重复性。


  • 根据Gartner数据,应用材料在全球中束流、高束流和极高剂量离子注入机占据58.5%、83.2%和100%的市场份额。


应用材料半导体离子注入机产品线:

1648193307841893.png


FinFET离子注入工艺:

1648193322868504.png


万业企业子公司凯世通为国产离子注入机研发和产业化的龙头企业:


  • 凯世通于2009年4月由陈炯博士为首的五位国际知名离子注入设备专家创立,是一家以离子束技术为核心的集科研、制造于一体的高科技企业,主要研制、生产、再制造和销售高端离子注入机,重点应用于光伏太阳能电池,新型平板显示,和半导体集成电路领域,其中低能大束流和高能离子注入机是公司在半导体制造领域主攻方向。


凯世通离子注入机通过国内主流12寸晶圆厂验证,进入放量期:


  • 根据公司公告,凯世通的首台低能大束流离子注入机于2020年9月送往国内某主流晶圆厂,历时仅8个月便通过验收确认收入;2021年12月,其高能离子注入机通过客户验收,低能大束流和高能离子注入机即将进入放量期。


  • 万业企业2022年2月7日发布公告,北京凯世通拟向重要客户出售多台12英寸集成电路低能大束流离子注入机、低能大束流超低温离子注入机,总交易金额为6.58亿元,交货期限1年。


凯世通iStellar-500S大束流离子注入机:

1648193339477587.png


热处理设备:应用材料市占第一,屹唐RTP领先,北方华创取得突破热处理设备主要应用包含:氧化(Oxidation)、扩散(Diffusion)、退火(Anneal)、合金(Alloy)等工艺,广泛使用在半导体集成电路、先进封装、电力电子(IGBT)、微机械(MEMS)、光伏电池(Photovoltaic)制造。


热处理设备主要应用的工艺步骤:

1648193352255155.png


  • 氧化:将硅片放置于氧气或水汽等氧化剂的氛围中进行高温热处理,在硅片表面发生化学反应形成氧化膜的过程,是集成电路工艺中应用较广泛的基础工艺之一。氧化膜的用途广泛,可作为离子注入的阻挡层及注入穿透层(损伤缓冲层)、表面钝化、绝缘栅材料以及器件保护层、隔离层、器件结构的介质层等。


  • 扩散:是在高温条件下,利用热扩散原理将杂质元素按工艺要求掺入硅衬底中,使其具有特定的浓度分布,达到改变材料的电学特性,形成半导体器件结构的目的。在硅集成电路工艺中,扩散工艺用于制作PN结或构成集成电路中的电阻、电容、互连布线、二极管和晶体管等器件。


  • 退火:也称热退火,集成电路工艺中所有在氮气等不活泼气氛中进行热处理的过程都可称为退火,其作用主要是消除晶格缺陷和消除硅结构的晶格损伤。


  • 合金:把硅片放置在惰性气体或氩气的环境中进行低温热处理,使金属(Al和Cu)和硅基行成良好的基础,以及稳定Cu配线的结晶结构并去除杂质,从而提高配线的可靠性。


快速热处理技术(RapidThermalProcessing)随先进制程关键尺寸不断缩小,小结构的图形化所需的工序数量增加日渐成为关键热处理技术。


先进尖峰退火、激光/闪光毫秒退火等RTP技术通过高强度灯直射晶圆表面,迅速加热至1200摄氏度及以上高温,将传统炉管退火几小时的加工时长缩短至几秒甚至几毫秒,从而在克服图形负载、降低热预算、漏电、界面层质量优化和高生产率灯众多加工难点具备更强竞争力,成为先进制程的关键热处理技术。


应用材料VANTAGERADIANCE™PLUSRTP:

1648193368904029.png


应用材料VANTAGEVULCAN®RTP:

1648193381767767.png


美日企业各具优势,屹唐、北方华创崭露头角:


  • 根据Gartner数据,2020年美国的应用材料、日本的Kokusai及东京电子占据全球前道热处理设备41.4%、21.1%。18.9%的市场份额。


  • 2021年3月,市场集中度因应用材料收购Kokusai交易未被中国监管机构批准而避免进一步集中。屹唐旗下Mattson和北方华创分别市占5.4%、2.1%进入全球主要热处理设备供应商之列。


  • 从主要细分设备看,应用材料占据快速热处理设备市场近70%份额,东京电子和Kokusai占据氧化扩散炉47.7%和35.4%市场份额,优势各异。


热处理设备主要应用的工艺步骤:

1648193399274138.png


屹唐为全球第二大RTP设备制造商:


  • 根据屹唐半导体招股书,公司旗下MattsonTechnology在2001年推出用于12英寸晶圆加工的快速热退火设备Helios系列;2008年推出新一代HeliosXP,已应用于集成电路量产制造,并研发至先进10nmDRAM芯片和256层3DNAND;2012年,公司推出的改进型毫秒级退火设备Millios系列已应用于集成电路量产制造,并研发至5nm先进逻辑芯片和先进10nmDRAM芯片。


  • 在快速热处理设备领域,公司的客户已覆盖台积电、三星电子、中芯国际、华虹集团、长江存储等国内外知名存储芯片、逻辑芯片、功率半导体、硅片制造厂商。根据Gartner数据,2020年屹唐以11.5%市占率成为全球第二大前道快速热处理设备制造商。


屹唐HeliosRTP设备:

1648193412348685.png

屹唐Millios毫秒级退火设备:

1648193426372631.png


参考资料来自:国信证券、驭势资本研究所


本文转载自《半导体在线》微信公众号,原文发布于2022年3月20日。

*免责声明:本站转载目的在于传递更多信息,并不代表本站赞同其观点和对其真实性负责。如涉及作品内容、版权和其它问题,请联系本站。联系电话:15195773608。